Photonics Research Group Home
Ghent University Journals/Proceedings
About People Research Publications Education Services
 IMEC
intern

 

Publication detail

Authors: S. Selvaraja, W. Bogaerts, P. Dumon, D. Van Thourhout, R. Baets
Title: Sub-nanometer linewidth uniformity in silicon nano-photonic waveguide devices using CMOS fabrication technology
Format: International Journal
Publication date: 1/2010
Journal/Conference/Book: IEEE Journal on Selected Topics in Quantum Electronics
Volume(Issue): 16(1) p.316 - 324
DOI: 10.1109/JSTQE.2009.2026550
Citations: 275 (Dimensions.ai - last update: 14/4/2024)
187 (OpenCitations - last update: 3/5/2024)
Look up on Google Scholar
Download: Download this Publication (872KB) (872KB)

Abstract

We report sub-nanometer linewidth uniformity in
silicon nano-photonics devices fabricated using high volume CMOS fabrication tools.We use wavelength selective devices such as ring resonators, Mach-Zehnder interferometers and arrayed waveguide gratings to assess the device nonuniformity within and between chips. The devices were fabricated using 193 nm or 248 nm optical lithography and dry etching in Silicon-on-Insulator wafer technology. Using 193 nm optical lithography, we have achieved a linewidth uniformity of 2 nm (after lithography) and 2.6 nm (after dry etch) over 200 mm wafer. Furthermore, with the developed fabrication process, using wavelength selective devices we have demonstrated a linewidth control better than 0.6 nm within chip and better than 2 nm chip-to-chip. The necessity for high resolution optical lithography is demonstrated by comparing device nonuniformity between the 248 nm and 193 nm optical lithography processes.

Related Research Topics

Related Projects

Citations (OpenCitations)

Back to publication list